Bram Moolenaar | 5c73622 | 2010-01-06 20:54:52 +0100 | [diff] [blame] | 1 | " Vim syntax file |
| 2 | " Language: SDC - Synopsys Design Constraints |
| 3 | " Maintainer: Maurizio Tranchero - maurizio.tranchero@gmail.com |
| 4 | " Last Change: Thu Mar 25 17:35:16 CET 2009 |
| 5 | " Credits: based on TCL Vim syntax file |
| 6 | " Version: 0.3 |
| 7 | |
| 8 | " Quit when a syntax file was already loaded |
| 9 | if exists("b:current_syntax") |
| 10 | finish |
| 11 | endif |
| 12 | |
| 13 | " Read the TCL syntax to start with |
| 14 | runtime! syntax/tcl.vim |
| 15 | |
| 16 | " SDC-specific keywords |
| 17 | syn keyword sdcCollections foreach_in_collection |
| 18 | syn keyword sdcObjectsQuery get_clocks get_ports |
| 19 | syn keyword sdcObjectsInfo get_point_info get_node_info get_path_info |
| 20 | syn keyword sdcObjectsInfo get_timing_paths set_attribute |
| 21 | syn keyword sdcConstraints set_false_path |
| 22 | syn keyword sdcNonIdealities set_min_delay set_max_delay |
| 23 | syn keyword sdcNonIdealities set_input_delay set_output_delay |
| 24 | syn keyword sdcNonIdealities set_load set_min_capacitance set_max_capacitance |
| 25 | syn keyword sdcCreateOperations create_clock create_timing_netlist update_timing_netlist |
| 26 | |
| 27 | " command flags highlighting |
Jordi Altayó | 6d626c4 | 2023-08-20 21:45:13 +0200 | [diff] [blame] | 28 | syn match sdcFlags "[[:space:]]-[[:alpha:]_]*\>" |
Bram Moolenaar | 5c73622 | 2010-01-06 20:54:52 +0100 | [diff] [blame] | 29 | |
| 30 | " Define the default highlighting. |
| 31 | hi def link sdcCollections Repeat |
| 32 | hi def link sdcObjectsInfo Operator |
| 33 | hi def link sdcCreateOperations Operator |
| 34 | hi def link sdcObjectsQuery Operator |
| 35 | hi def link sdcConstraints Operator |
| 36 | hi def link sdcNonIdealities Operator |
| 37 | hi def link sdcFlags Special |
| 38 | |
| 39 | let b:current_syntax = "sdc" |
| 40 | |
| 41 | " vim: ts=8 |